原位工艺管理

KLA 全面的 SensArray® 产品组合可对工艺工具的环境和晶圆处理条件进行原位监控。SensArray 产品借助有线和无线传感器晶圆和光罩测温产品、自动化量测和数据分析系统,为各种晶圆和光罩工艺提供全面的信息。它们还支持晶圆处理和旋转卡盘的表征。半导体工艺设备制造商、芯片制造商和光罩制造商可使用 SensArray 数据来显示、诊断和控制工艺和晶圆处理条件。

产品类别

SensArray® Automation

原位温度测量自动化系统

SensArray® Automation系统可快速自动收集工艺设备腔体的温度数据,同时还提供可为新建晶圆厂提供支持的半自动功能。SensArray Automation包括与所有 300mm 无线 SensArray 产品兼容的 AS1000 自动化基站、与天车系统 (OHT) 兼容的 FOUP、系统自动化控制器以及可安装在办公室电脑中的软件。SensArray FOUP 可以同时放置两个独立的 SensArray 产品以实现灵活的晶圆厂部署,并且可以像任何普通生产 FOUP 一样处理,同时还可直接将数据传输到客户的生产系统 (MES),并生成 SPC 图表。SensArray Automation可提高生产力,从而提高工艺设备的可用时间,更有效地利用工程师资源,并将数据集中存储在工厂的 MES 数据库中。

EtchTemp Series

原位等离子蚀刻晶圆温度(20°C 至 140°C)测量系统

EtchTemp Series 原位晶圆温度测量系统,可用于 300mm 和 200mm 配置,记录等离子蚀刻工艺环境对真实工艺条件下生产晶圆的影响。EtchTemp-HD 测量系统包含高密度传感器,能够实现晶圆整体温度监控,而这与导体蚀刻工艺的 CD 均匀性控制密切相关。EtchTemp-HD 无线晶圆通过测量与产品工艺接近条件下的温度数据,可以帮助工艺工程师完成蚀刻工艺条件的调整,以及生产线前端等离子刻蚀室的鉴定、匹配和 PM 后验证等工作。

HighTemp-400

原位晶圆温度(20°C 至 400°C)测量系统

HighTemp-400 原位晶圆温度测量系统提供 300mm 和 200mm 配置,它旨在优化和监控各项先进薄膜工艺(FEOL 和 BEOL ALD、CVD 和 PVD)以及其他高温工艺。HighTemp-400 无线晶圆可测量工艺设备的热均匀性,从而提供在实际生产工艺条件下原位所收集温度时空数据的完整信息。通过揭示等离子体环境等应用中可能影响工艺窗口和成形性能的热变化,HighTemp-400 可帮助 IC 制造商优化新材料、晶体管技术与复杂成形技术的集成。

Integrated Wafer™

原位光刻晶圆温度(15°C 至 145°C)测量系统

Integrated Wafer™ 2 原位晶圆温度测量系统包括 300mm 和 200mm 两种配置,它可收集关键温度数据,以便监控和维护光刻工艺。Integrated Wafer 2 采用无线、薄型设计,可与几乎所有光刻工艺设备搭配使用,从而为关键生产工艺提供高精度的静态和动态温度测量。Integrated Wafer 2 使用 65 个传感器来帮助光刻工程师测量热均匀性以及分析热循环的各个部分,其中包括传输、加热、冷却和稳态操作。Integrated Wafer 2 支持先进光刻工艺(例如,光刻后烘烤)中关键热板加热区元件的测量和监控等应用。

UV Wafer

原位沉积和退火紫外线光强测量系统

300mm UV Wafer 紫外线 (UV) 光强测量系统利用无线传感器晶圆技术,可测量薄膜沉积工艺设备晶圆表面的紫外线光剂量和强度。UV Wafer 实现了先前无法实现的工艺优化和监控,可提供从用于退火或固化 FCVD(可流动)氧化物和低介电系数薄膜的紫外灯到达晶圆表面的光强度的相关时空信息。UV Wafer 还可以识别灯泡老化引起的漂移或灯强度的其他变化,而这些变化会导致薄膜性能不均匀。UV Wafer 通过显示紫外线灯子系统中的光学系统问题,可帮助工程师改进工艺工具,从而实现最佳固化工艺。

CryoTemp™

晶圆温度(-40°C 至 30°C)测量系统

CryoTemp™ 300mm 原位晶圆温度测量系统支持在实际真空工艺条件下对干法蚀刻工艺进行工艺测量和监控*。CryoTemp 晶圆旨在校准、改善均匀性以及匹配静电吸附盘 (ESC) 上的温度曲线,并可实现对等离子体蚀刻腔体进行快速工艺测量和控制。CryoTemp 具有 21 个传感器,其精度为 0.5°C,工作温度范围则为 -40°C 至 30°C。支持自动化的 CryoTemp 有助于减少蚀刻腔体停机时间,节省宝贵的工程资源,并提高工具性能和整体生产力。

(*请注意,CryoTemp 晶圆并非旨在等离子体开启的情况下进行使用,但可在工艺腔体中卡紧和解除卡紧。)

Smartwafer™

晶圆传送监控器

Smartwafer2™ 可监测并记录晶圆在设备传送路径上的振动和加速度。完成记录流程后,数据会通过外部读取站下载到电脑中。数据会与设备事件序列同步,并与良好的历史数据进行比较。任何异常信号都会显示并精确指向不良的机械组件或对准问题,这些问题会导致晶圆上出现颗粒、缺陷或划痕。该产品使用标准 300mm 硅晶圆,可以与标准工艺晶圆完美匹配,使其能够使用跟工艺圆晶同样的配方来监测晶圆传送过程。电子电路表面涂有硅粘合剂,可保护 Smartwafer2 并使其防水。Automation Loadport 是专为 300mm 自动化晶圆厂设计的,它允许在生产模式下使用 Smartwafer2,就像任何其他常规监控器一样。Automation Loadport 符合所有 SEMI 标准,包括 E84 和 AMHS/OHV 及主机连接所需的其他 SEMI 标准。

EWG Wafer™

晶圆传送监控器

EWG Wafer™ 可测量吸附盘旋转时晶圆的偏心率和晃动,这是进行这些原位测量的唯一方法。目前用于检查这些参数的常用方法需要打开工具腔体和使用机械量规,这是一个非常耗费时间的过程。EWG Wafer 将测量 XY 方向加速度的装置置于晶圆的中心,并将测量 Z 方向加速度的装置置于靠近晶圆边缘的六个点上,从而无需打开工具腔体便可完成测量,并且可以通过兼容的Automation Loadport 实现完全自动化。Automation Loadport 是专为 300mm 自动化晶圆厂设计的,它允许在生产模式下使用 EWG Wafer,就像任何其他常规监控器一样。Automation Loadport 符合所有 SEMI 标准,包括 E84 和 AMHS/OHV 及主机连接所需的其他 SEMI 标准。

RH Wafer™

晶圆传送监控器

RH Wafer™ 可在整个工艺设备中移动,并测量多个位置的湿度。相对湿度传感器和 Smartwafer2™ 型电路安装在 300mm 裸硅晶圆上,该晶圆放置在经过清洗的 SmartFOUP™ 中。SmartFOUP 随后会被放置在工艺工具 N2清洗装载端口上,并通过测量 FOUP 中的相对湿度来监控 N2 清洗的工作情况。RH Wafer和清洗后的 SmartFOUP 与Automation Loadport 和分析软件完全兼容,从而可实现全自动监控。Automation Loadport 专为 300mm 自动化晶圆厂而设计,它允许在生产模式下使用 RH Wafer,就像任何其他常规监控器一样。Automation Loadport 符合所有 SEMI 标准,包括 E84 和 AMHS/OHV 及主机连接所需的其他 SEMI 标准。该分析软件使用统计工艺控制 (SPC) 工具来检测相对湿度的异常或趋势。

WetTemp Series

原位湿法工艺晶圆温度(15°C 至 140°C)测量系统

WetTemp 原位晶圆温度测量系统提供 300mm 和 200mm 两种配置,支持湿法清洁和其他湿法工艺的监控。WetTemp Series监控晶圆与大多数单晶圆湿法清洁工艺系统兼容,可帮助工程师验证湿法清洁设备、优化湿法清洁工艺和改进湿法清洁系统性能。

ScannerTemp

原位光刻机晶圆温度(20°C 至 24°C)测量系统

ScannerTemp 原位晶圆温度测量系统可用于监控干式、浸入式和 EUV 光刻机。ScannerTemp 无线晶圆可生成高精度的晶圆温度时空数据,该数据可帮助光刻工程师监控影响图形叠对效果的光刻机温度变化。ScannerTemp 采用扁平、标准厚度的晶圆模式,并且具有高精度和低噪音的特点,可用于测量光刻工艺中的温度均匀性和稳定性,从而实现光刻机的鉴定和匹配。

Integral Implant i3

原位离子注入晶圆温度(15°C 至 130°C)测量系统

Integral Implant i3 原位晶圆温度测量系统提供 300mm 和 200mm 两种配置,并支持离子注入工艺的晶圆温度监控。Integral Implant i3 无线晶圆可生成高精度的晶圆温度时空数据,从而帮助注入工程师测量和监控影响离子注入剂量和均匀性的温度变化,并改进离子注入工艺鉴定和工具匹配。

Thermal TRACK™ 6

无线数据采集

Thermal TRACK™ 6 数据采集系统可通过有线 SensArray® Process Probe™ 仪表化晶圆产品进行原位晶圆温度测量。Thermal TRACK 6 系统将无线 ISIS 5(智能传感器接口系统)数据采集单元与便携式平板电脑相结合,以针对温度曲线的测量进行原位数据呈现和记录。通过提供升温、稳态和冷却过程中晶圆温度的信息图形表示,Thermal TRACK 6 可为管理大多数工艺提供一种快速、经济的方法。该便携式系统提供高准确度、精度和分辨率的瞬态及稳态测量,从而为晶圆厂工程师提供关键数据,以便校准和检查温度设定点并执行预先制定的预防性维护检查。Thermal TRACK 6 配备一台 10.5 英寸平板电脑,提供与上一代产品相同的功能,并且优化了用户界面和用户体验,同时还无需外接电脑。

Thermal TRACK™ 5

手持式无线数据采集

Thermal TRACK™ 5 数据采集系统可通过有线 SensArray® Process Probe™ 仪表化晶圆产品进行原位晶圆温度测量。Thermal TRACK 5 系统将无线 ISIS 5(智能传感器接口系统)数据采集单元与手持式个人数字辅助设备相结合,以针对温度曲线的测量进行原位数据呈现和记录。通过提供升温、稳态和冷却过程中晶圆温度的信息图形表示,Thermal TRACK 5 可为管理大多数工艺提供一种快速、经济的方法。该便携式系统可为瞬态和稳态测量提供高准确度、精度和分辨率,从而为晶圆厂工程师提供关键数据,以便他们校准和检查温度设定点并执行预先制定的预防性维护检查。

MaskTemp™

原位光罩温度测量系统

MaskTemp™ 2 原位光罩温度测量系统由光罩车间用于电子束制造机和高温光罩工艺各步骤的鉴定和监控。MaskTemp 2 在电子束光罩制造机的鉴定方面发挥着关键作用,因为在完全写入光罩所需的较长时段(最长 24 小时)内需要极高的温度稳定性。在电子束光罩制造机内部,MaskTemp 2 可连续 24 小时不间断收集温度数据,从而为光罩制造商提供在造出关键光罩之前确保系统热稳定性所需的数据。此外,MaskTemp 2 还支持曝光后烘烤的测量、加热板温度均匀性监控、加热板匹配以及其他高温工艺应用,以便帮助掩模制造商识别和减少影响最终光罩质量的写入后工艺热变化因素。

Process Probe™ 1530/1535

原位晶圆温度监控系统

Process Probe™ 1530 和 1535 仪表化晶圆可用于监控各种工艺的原位温度,其中包括冷壁、RTP、溅射、CVD、等离子剥离器和外延反应器。Process Probe 1530 和 1535 可在工艺周期的每个关键步骤提供直接、原位的晶圆温度测量结果。借助这些全面的温度数据,工艺工程师便可测量和微调工艺条件,从而提升工艺设备性能、晶圆质量和良率。

Process Probe™ 1630

原位晶圆温度监控系统

Process Probe™ 1630 仪表化晶圆可对前端大气和带式 CVD 系统以及后端晶圆焊料凸点回流焊炉的晶圆温度分布情况进行精确的原位测量。借助 Process Probe 1630,工艺工程师可确定边缘到中心区域的温度分布情况,以便调整加热器区域设定点以及测量沉积温度的漂移值,从而最终调整加热器和传送带上氧化物堆积所造成的传热变化。

Process Probe™ 1730

原位晶圆温度监控系统

Process Probe™ 1730 仪表化晶圆可在光刻胶跟踪系统、温控晶圆卡盘系统、焊炉应用以及抗蚀剂烘烤、聚酰亚胺和 SOG 应用中对晶圆温度分布情况进行精确的原位测量。Process Probe 1730 有助工程师测量和微调工艺条件,从而提高工艺设备性能,并进而提升良率。

Process Probe™ 1840/1850

原位晶圆温度监控系统

Process Probe™ 1840 和 1850 仪表化晶圆可提供高精度、原位加热板温度测量,从而支持光刻胶跟踪系统和晶圆探测器等工艺。Process Probe 1840 和 1850 可直接测量晶圆温度的稳定性和均匀性,而不依赖非精确工艺监控器或接触式温度传感器。借助 Process Probe 1840 和 1850,光刻工程师可测量和微调光刻胶烘烤温度的均匀性,从而确保先进光刻工艺满足实现高良率所需的温度精度。

PlasmaSuite

等离子数据分析系统

PlasmaView
PlasmaView 工艺分析查看系统提供直观的界面,可用于查看详细的各项等离子蚀刻工艺分析。借助从 EtchTemp 和 EtchTemp-SE 收集的数据,PlasmaView 可显示等离子工艺数据与时间和空间(2D 或 3D)之间的关系。而借助动画视图,工艺工程师则可呈现关键瞬态响应,以便用于故障调查。


PlasmaControl
PlasmaControl 分析引擎有助于监测和控制日常操作以及腔体之间的匹配情况。它可将复杂的等离子蚀刻工艺提炼为若干关键组成部分,并将它们与控制规范进行比较,从而为每次运行提供简洁明了的“通过”或“不通过”结果。借助 PlasmaControl,工程师可查看趋势、检测和研究偏差,并对不同的等离子蚀刻工艺腔体进行比较。

LithoSuite

光刻数据分析系统

LithoView
LithoView 工艺分析用户界面提供标准化数据查看功能,其中包括数据的 2D 和 3D 时间视图。LithoView 可为工程师提供完整的任务控制功能,例如全套 SensorWafer™ 通信、任务操作和数据下载功能。LithoView 还包括数据库和浏览器,可用于完整跟踪数据历史记录。


AutoCal TrackTune 应用程序
AutoCal TrackTune 高级软件应用程序可用于校准和优化先进轨道加热板。该应用程序凭借 SensArray® Integrated Wafer 所收集数据的准确性来捕获光刻胶处理区域的温度分布。通过将详细的热分布数据与特定于 OEM 板的热建模引擎相结合,它可以生成优化后的加热板控制系统输入参数设置。这些优化后的设置可显著提高板内的均匀性,并使板与板之间的热分布实现一致。

Thermal MAP®

无线数据采集和数据分析

Thermal MAP® 数据采集和分析系统可提供原位晶圆温度测量。Thermal MAP 系统可将无线 ISIS 5(智能传感器接口系统)数据采集单元与功能强大的图形软件相结合,并可呈现和分析任意 SensArray® 仪表化晶圆所收集的数据。这一先进的晶圆温度数据采集和分析系统可为瞬态和稳态测量提供优异的准确度、精确度和分辨率。 通过提供简明且信息丰富的温度上升、稳态和下降图形表示,Thermal MAP 支持使用以下工具进行快速工艺优化:

  • 轮廓图和表面图可提升与薄膜厚度和电阻率图的相关度
  • 可通过动画快速查看工艺中温度曲线的变化情况
  • 提供运行间分析和运行内分析

Are you sure?

You've selected to view this site translated by Google Translate.
KLA China has the same content with improved translations.

Would you like to visit KLA China instead?


您已选择查看由Google翻译翻译的此网站。
KLA中国的内容与英文网站相同并改进了翻译。

你想访问KLA中国吗?

如果您当前是KLA员工,请通过My Access上的KLA Intranet进行申请。

退出