Wafer Inspection and Metrology for Advanced Packaging

KLA’s wafer inspection and metrology systems for advanced wafer-level packaging provide the data required for chip manufacturers to increase yield by providing traceability throughout their increasingly complex manufacturing processes. Smaller feature sizes, new integration schemes and the heterogeneous integration of multiple components into single packages result in tighter process control requirements. Our systems allow engineers to quickly detect, resolve and monitor excursions to provide greater control of quality for improved device performance.

Kronos 1190

Wafer-Level Packaging Inspection Systems

The Kronos 1190 patterned wafer inspection system with high resolution optics provides best in class sensitivity to critical defects for process development and production monitoring in advanced wafer-level packaging (AWLP) applications including 3D IC and high-density fan-out (HDFO). DefectWise® integrates Artificial Intelligence (AI) as a system level solution, delivering a large boost in sensitivity, productivity and classification accuracy to address the challenges of overkill and defect escapes. DesignWise® refines the FlexPoint precisely targeted inspection areas with direct design input to further reduce nuisance. Supporting bonded, thinned, warped and diced substrates, the Kronos 1190 system enables cost-effective defect inspection down to 150nm in critical process steps like post-dicing, pre-bonding, patterning of Cu pads, Cu pillars, bumps, through silicon vias (TSVs) and redistribution layers (RDL).

CIRCL-AP

All-Surface Wafer Defect Inspection, Metrology and Review Cluster System

CIRCL-AP is a cluster tool with multiple modules, covering all-surface inspection, metrology and review at high throughput for efficient advanced wafer-level packaging (AWLP) process control. The CIRCL-AP tool is utilized for multiple AWLP applications requiring high sensitivity including 2.5D/3D integration, wafer-level chip scale packaging (WLCSP) and fan-out wafer-level packaging (FOWLP). With support for bonded, thinned and warped substrates, CIRCL-AP provides production-proven process control and monitoring strategies for Cu-pillars, bumps, through silicon vias (TSVs), redistribution layer (RDL) and other packaging process flows.

PWG5™ with XT Option

Patterned Wafer Geometry (PWG) Metrology Systems

The PWG5™ with XT Option patterned wafer metrology platform produces full wafer dense shape, comprehensive wafer flatness and dual-sided nanotopography data for the eXtra Thick wafers in wafer-to-wafer bonding applications, including pre-bonding incoming wafer shape metrology, post-bonding wafer shape engineering, post-bonding large void detection, bonding chuck hotspot detection and tool monitoring. The XT Option is a billable option on PWG5™ that leverages novel enhancements, additional calibration and passivation technologies. The PWG5 with XT Option supports high precision and high stability measurement of thick wafers, while advanced handling supports the throughput required for high volume manufacturing. With high resolution and high-density sampling, the PWG5 with XT Option produces data that supports advanced control and yield improvement of the wafer-to-wafer bonding process.

irArcher®

Overlay Metrology for Wafer-to-Wafer Bonding

The irArcher® 007 overlay metrology system supports the characterization and monitoring of overlay performance for advanced wafer-to-wafer (W2W) alignment bonding processes. Short wavelength infrared (SWIR) illumination modes provide optimal metrology target signal through the substrate with low noise for accurate overlay measurements on pre-grinded bonded wafers. The innovative focus system produces robust, ultra-fast overlay measurements for within-wafer and wafer-to-wafer applications, supporting multiple bonders. Manufacturing-ready features include full factory automation and integration, KPIs (key performance indicators), APC (advanced process control) infrastructure, and the ability to handle high-bow, bonded wafers. For manufacturing processes involving 3D heterogeneous integration, the irArcher 007 high-performance overlay metrology system helps engineers monitor and improve W2W alignment and final product yield.

Zeta-5xx/6xx

Advanced Packaging Metrology Systems

The Zeta-5xx Series optical profilers are fully automated 300mm wafer metrology systems capable of measuring a variety of applications such as bump height, RDL (redistribution layer) CD, UBM (under bump metallization) step height, film thickness and wafer bow, which are critical to process control in advanced wafer-level packaging. Multi-mode optics save time and reduce cost by enabling a wide variety of measurement types on the single system, while the resulting high resolution 3D images and analysis provide the data required to enable process feedback cycles to drive yield improvement. For panel based wafer-level packaging applications, automated panel handling is available on the Zeta-6xx Series profilers, which offer the same metrology measurement capability as the 5xx Series.

Are you sure?

You've selected to view this site translated by Google Translate.
KLA China has the same content with improved translations.

Would you like to visit KLA China instead?


您已选择查看由Google翻译翻译的此网站。
KLA中国的内容与英文网站相同并改进了翻译。

你想访问KLA中国吗?

If you are a current KLA Employee, please apply through the KLA Intranet on My Access.

Exit