In Situ Process Management

KLA's SensArray® products enable in situ monitoring of process tools' environments and wafer handling conditions. With wireless sensor wafers and an automation package, SensArray products provide comprehensive wafer temperature and wafer handling information for advanced packaging and assembly processes. Engineers use SensArray data during advanced packaging manufacturing to visualize, diagnose and control process and wafer handling conditions.

Product Category

SensArray® Automation

In Situ Temperature Measurement Automation Package

The SensArray® Automation package provides a fast automated collection of process tool chamber temperature measurements while also providing semi-automated functionality to support fab startups. The SensArray Automation package includes the AS1000 automation base station, which is compatible with all 300mm wireless SensArray products, the overhead track (OHT) compatible FOUP, a system automation controller, and office PC software seat components. SensArray FOUPs can support two separate SensArray products for flexible fab deployment and can be processed the same way as any production FOUP, with direct data porting to SPC charts. SensArray Automation provides productivity enhancements resulting in gains in the availability of process tools, more efficient use of engineering resources, and centralized data storage in the fab’s MES database.

HighTemp-400

In Situ Wafer Temperature (20° to 400°C) Measurement System

The HighTemp-400 in situ wafer temperature measurement system, available in both 300mm and 200mm configurations, is designed to optimize and monitor advanced film processes (FEOL and BEOL ALD, CVD and PVD) and other elevated temperature processes. The HighTemp-400 wireless wafer measures process tool thermal uniformity, providing a complete picture of temporal and spatial temperature data collected in real-time under actual production process conditions. By revealing thermal variations in applications such as plasma environments that can affect process windows and patterning performance, the HighTemp-400 helps IC manufacturers optimize the integration of new materials, transistor technologies and complex patterning techniques.

CryoTemp™

In Situ Wafer Temperature (-40° to 30°C) Measurement System

The CryoTemp™ in situ 300mm wafer temperature measurement system supports the characterization and monitoring of dry etch processes under actual vacuum process conditions*. Designed to calibrate, improve uniformity and match temperature profiles on electrostatic chucks (ESC), the CryoTemp wafer enables fast process characterization and control of plasma etch chambers. The CryoTemp has 21 sensors with an accuracy of 0.5°C and an operational range of -40°C to 30°C. The automation-ready CryoTemp helps to reduce chamber downtime, save valuable engineering resources, and improve tool performance and overall productivity.

(* Note the CryoTemp wafer is not designed to be used with plasma on but can be chucked and de-chucked in the process chamber.)

Smartwafer™

Wafer Handling Monitor

The Smartwafer2™ handling monitor runs through process equipment and records vibrations and acceleration along its route. After completing the recording process, the data is downloaded to a PC via an external reading station. The data is synchronized with the equipment sequence of events and compared to the historic good fingerprint. Any abnormal signals indicate and pinpoint bad mechanical components or alignments, which can cause particles, defects or scratches on the wafer. Standard 300mm silicon wafers are used to closely match the behavior of a standard process wafer, allowing it to run the same mechanical recipe through the wafer handling system. The electronic circuit is conformal coated with silicon adhesive, protecting and waterproofing the Smartwafer2. The Automation Loadport is designed for 300mm automated fabs and permits the utilization of the Smartwafer2 in production mode, like any other routine monitor. The Automation Loadport meets all SEMI standards, including E84 and other SEMI standards required for AMHS/OHV and host connectivity.

EWG Wafer™

Wafer Handling Monitor

The EWG Wafer™ handling monitor measures the eccentricity and the wobbling of wafers on spinning chucks, the only in situ method of making these measurements. The common method currently used for checking these parameters involves the time-consuming process of opening the tool chamber and using mechanical gauges. With one XY accelerometer positioned at the center of the wafer and Z accelerometers positioned at six points close to the edge of the wafer, the EWG Wafer avoids any tool opening, and can be fully automated with the compatible Automation Loadport. The Automation Loadport is designed for 300mm automated fabs and permits the utilization of the EWG Wafer in production mode, like any other routine monitor. The Automation Loadport meets all SEMI standards, including E84 and other SEMI standards required for AMHS/OHV and host connectivity.

RH Wafer™

Wafer Handling Monitor

The RH Wafer™ handling monitor travels throughout a process tool and measures relative humidity in multiple locations. The relative humidity sensor and Smartwafer2™ type circuit are mounted on a 300mm bare silicon wafer that is placed in a purged SmartFOUP™. The SmartFOUP is then placed on a process tool N2 purged load port and monitors the functionality of the N2 purging by measuring the relative humidity in the FOUP. The RH Wafer and purged SmartFOUP are fully compatible with the Automation Loadport and analysis software for fully automated monitoring. The Automation Loadport is designed for 300mm automated fabs and permits the utilization of the RH Wafer in production mode, like any other routine monitor. The Automation Loadport meets all SEMI standards, including E84 and other SEMI standards required for AMHS/OHV and host connectivity. The analysis software uses statistical process control (SPC) tools to detect relative humidity irregularities or trends.

Are you sure?

You've selected to view this site translated by Google Translate.
KLA China has the same content with improved translations.

Would you like to visit KLA China instead?


您已选择查看由Google翻译翻译的此网站。
KLA中国的内容与英文网站相同并改进了翻译。

你想访问KLA中国吗?

If you are a current KLA Employee, please apply through the KLA Intranet on My Access.

Exit