Metrology

KLA’s metrology systems address a range of chip and substrate manufacturing applications, including verification of design manufacturability, new process characterization and high volume manufacturing process monitoring. By providing precise measurement of pattern dimensions, film thicknesses, layer-to-layer alignment, pattern placement, surface topography and electro-optical properties, our comprehensive set of metrology systems allows chip manufacturers to maintain tight control of their processes for improved device performance and yield.

Archer™

Overlay Metrology Systems

The Archer™ 750 overlay metrology system provides accurate feedback of on-product overlay error for fast technology ramps and stable production of leading-edge memory and logic devices. Wavelength tunability with 10nm resolution delivers accurate and robust overlay error measurements in the presence of production process variations. With productivity levels typically only seen with scatterometry-based systems, the Archer 750 imaging-based overlay system supports increased sampling for high order scanner corrections and high throughput for inline monitoring. Advanced algorithms and a novel rAIM® overlay target design produce improved correlation between target and device overlay errors, helping lithographers accurately track device overlay performance.

ATL™

Overlay Metrology Systems

The ATL100™ (Accurate Tunable Laser) scatterometry-based overlay metrology system provides overlay control for development and high volume manufacturing at ≤7nm design nodes. Tunable laser technology with 1nm resolution paired with real-time Homing™ maintains high overlay accuracy in the presence of production process variations. The ATL100 supports a diverse range of scatterometry overlay measurement target designs, including in-die and small pitch, enabling accurate overlay error measurement for different process layers, device types, design nodes and patterning technologies.

Axion®

X-ray Critical Dimension (CD) and Shape Metrology System

The Axion® T2000 X-ray dimensional metrology system produces high resolution, fast, accurate, precise, non-destructive 3D shape measurements of the high aspect ratio structures used in advanced 3D NAND and DRAM chips. Leveraging innovative X-ray technology, the Axion T2000 identifies subtle structural variations (bending, bowing, CD profile, etch depth, ellipticity, tilt, and more) that can impact memory device performance and yield. With measurements made inline, non-destructively, the Axion T2000 helps memory manufacturers achieve fast cycles of learning during R&D, serving as a replacement for long lead time, destructive methods, such as FIB-SEM, TEM and cross-section SEM. The Axion T2000 is also used to characterize, monitor and control key process steps during high volume manufacturing, ensuring issues are identified and addressed quickly to maintain stable production.

SpectraShape

Optical Critical Dimension (CD) and Shape Metrology Systems

The SpectraShape™ 11k dimensional metrology system is used to fully characterize and monitor the critical dimensions (CD) and three dimensional shapes of finFETs, vertically stacked NAND and DRAM structures, and other complex features on integrated circuits at leading-edge design nodes. Using significant advancements in optical technologies and patented algorithms, the SpectraShape 11k identifies subtle variations in critical device parameters (critical dimension, high k and metal gate recess, side wall angle, resist height, hardmask height, pitch walking) across a range of process layers. With an improved stage and new measurement modules that enable high throughput operation, the SpectraShape 11k provides fast identification of process issues inline, helping fabs accelerate yield ramps and achieve stable production.

SpectraFilm

Film Metrology Systems

The SpectraFilm™ F1 film metrology system helps achieve strict process tolerances at sub-7nm logic and leading-edge memory design nodes by providing high-precision thin film measurements for a broad range of film layers. The high brightness light source drives the spectroscopic ellipsometry technology which provides the signal required to accurately measure the bandgap and provide insight into electrical performance weeks earlier than e-test. New FoG™ (Films on Grating) algorithms further increase the measurement’s correlation to device by enabling film measurement on a device-like grating structure. With increased throughput, SpectraFilm F1 offers high productivity, supporting the increased number of film layers associated with leading-edge device fabrication techniques.

Aleris®

Film Metrology Systems

The Aleris® film metrology systems provide reliable and precise measurement of film thickness, refractive index, stress and composition for the 32nm node and beyond. Utilizing Broadband Spectroscopic Ellipsometry (BBSE) technology, the Aleris film metrology systems form a comprehensive film thickness measurements and metrology solution, helping fabs to qualify and monitor a broad range of film layers.

PWG™

Patterned Wafer Geometry (PWG) Metrology Systems

The PWG patterned wafer metrology platform produces full wafer dense shape, comprehensive wafer flatness and dual-sided nanotopography data for advanced 3D NAND, DRAM and logic manufacturers. PWG5, with high resolution and high-density sampling, measures stress-induced wafer shape changes, wafer shape-induced pattern overlay errors, wafer thickness variations and wafer front and backside topography. With industry-best dynamic range, the PWG5 supports inline monitoring and control of wafer warp and stress resulting from deposition processes used to fabricate the 96+ layer stacks of advanced 3D NAND devices. The PWG5 identifies process-induced wafer shape variations at the source, enabling re-work of the wafer, re-calibration of a process tool or integration with KLA’s 5D Analyzer® data analytics system to feed results to the scanner to improve on-product overlay and overall device yield.

Therma-Probe®

Implant Metrology Systems

The Therma-Probe® 680XP ion implant/anneal metrology system enables inline dose monitoring for the 2Xnm/1Xnm design nodes. The Therma-Probe 680XP produces critical process information about ion implant dose and profile, implant and anneal uniformity and end of range damage. In addition, the Therma-Probe 680XP system’s high resolution micro uniformity maps provide fingerprinting capability for implant and anneal process development.

CAPRES microRSP®

Micro-Scale Sheet Resistance Probing

The CAPRES A301 microRSP® metrology system is based on the proven, reliable four-point technique used in conventional macroscopic probes, offering a straightforward transition from the off-line test wafer resistance metrology to inline product wafer sheet resistance characterization. Using compliant micro-fabricated electrode arrays with cantilever widths down to 500 nanometers, the CAPRES A301 microRSP is the first non-destructive resistance metrology tool that works on the length scale of 300mm product wafer scribe lines. The fully automated CAPRES A301 microRSP base product is used to perform sheet resistance on both 300mm blanket and product wafers and may be configured differently, as defined in the Related Products section below, for additional measurement capabilities and functionality. 200mm CAPRES microRSP products are also offered.

CAPRES CIPTech®

Electrical / Magnetic Property Metrology

The CAPRES A301 CIPTech® and CAPRES M300 CIPTech® metrology systems measure the critically important magneto-resistance and tunneling resistance (MR and RA) directly on blanket Magnetic Tunnel Junction (MTJ) stacks in regimes relevant for MRAM, STTRAM, magnetic recording head, and magnetic sensor applications. Current In-Plane Tunneling Technology (CIPTech®), licensed from IBM, offers unprecedented measurement speed and radical cost and time-savings over previous techniques. When combined with CAPRES proprietary nano-MEMS probing technology, the fully automated CAPRES A301 CIPTech system and semi-automated / manual CAPRES M300 CIPTech system enable non-destructive measurement of MTJ properties quickly and effectively on 300mm full wafers or sample coupons. The MTJ surface is probed by a novel multi-point contact probe with twelve microscopic cantilever electrodes and CIPT is used to automatically extract MR and RA directly from the CAPRES multi-point measurements.

MicroSense® PKMRAM

300mm Ready Non-Contact Magnetic Property Metrology System for MRAM

The MicroSense® PKMRAM system utilizes the polar Magneto-Optical Kerr Effect (MOKE) to characterize multi-layer wafers’ magnetic properties used to develop and manufacture perpendicular MRAM. Utilizing a non-contact full-wafer measurement technique, the system creates a map of the magnetic properties of entire wafers up to 300mm. The system is available in both manual loading or fully-automated configurations to meet R&D and/or production requirements. Using the proprietary direct field control technique as with other MicroSense magnetic metrology tools, the MicroSense PKMRAM system offers high field capabilities and low field resolution to characterize free and pinned layer properties in a single system.

MicroSense® KerrMapper

Longitudinal Magneto-Optic Kerr Effect System

The MicroSense® KerrMapper family of tools utilizes the longitudinal Magneto-Optical Kerr Effect (MOKE) to characterize the magnetic properties of magnetic multi-layer wafers for data storage, MRAM, and other magnetic sensors. Utilizing a non-contact full-wafer measurement technique, the MicroSense® KerrMapper S300 and V300 systems create a map of the magnetic properties of entire wafers. Both systems are available in a manual-loading or fully-automated configuration for use in R&D and/or production. Using the proprietary direct field control technique of MicroSense magnetic metrology tools, the MicroSense® KerrMapper systems offer high field capabilities and low field resolution to characterize free and pinned layer properties in a single system.

OmniMap® RS-200

Sheet Resistance Measurement Systems

The OmniMap® RS-200 resistivity mapping system, based on proven industry resistivity mapping standards, provides accurate and reliable sheet resistance measurement for 45nm and beyond. This resistivity mapping system provides capabilities such as advanced automation and improved edge performance to meet today's 300mm wafer production requirements.

CIRCL™

All-Surface Wafer Defect Inspection, Metrology and Review Cluster System

The CIRCL™ cluster tool has four modules, covering all wafer surfaces and providing parallel data collection at high throughput for efficient process control. The modules comprising the latest-generation CIRCL5 system include: front side wafer defect inspection; wafer edge defect inspection, profile, metrology and review; backside wafer defect inspection and review; and, optical review and classification of front side defects. Data collection is controlled by DirectedSampling™, an innovative approach that uses results from one measurement to trigger other types of measurements within the cluster. The modular configuration of CIRCL5 offers flexibility for varying process control needs, saves overall fab space, reduces wafer queue time, and provides a cost-effective upgrade path to protect a fab's capital investment.

KLA has Software Solutions that centralize and analyze chip manufacturing metrology data, including OVALiS and 5D Analyzer®

Click here to learn more

KLA has metrology systems available through Pro Systems and Enhancements for legacy node manufacturing

Click here to learn more

Are you sure?

You've selected to view this site translated by Google Translate.
KLA China has the same content with improved translations.

Would you like to visit KLA China instead?


您已选择查看由Google翻译翻译的此网站。
KLA中国的内容与英文网站相同并改进了翻译。

你想访问KLA中国吗?

If you are a current KLA Employee, please apply through the KLA Intranet on My Access.

Exit