In Situ Process Management

KLA’s comprehensive portfolio of SensArray® products enables in situ monitoring of process tools’ environments and wafer handling conditions. With wired and wireless sensor wafers and reticles, an automation package and data analysis systems, SensArray products provide comprehensive information for a wide range of wafer and reticle processes including wafer temperature and light measurement. They also support characterization of wafer handling and spinning chucks. Semiconductor process equipment manufacturers, chip manufacturers and reticle manufacturers use SensArray data to visualize, diagnose and control process and wafer handling conditions.

Product Categories

SensArray® Automation

In Situ Temperature Measurement Automation Package

The SensArray® Automation package provides a fast automated collection of process tool chamber temperature measurements while also providing semi-automated functionality to support fab startups. The SensArray Automation package includes the AS1000 automation base station, which is compatible with all 300mm wireless SensArray products, the overhead track (OHT) compatible FOUP, a system automation controller, and office PC software seat components. SensArray FOUPs can support two separate SensArray products for flexible fab deployment and can be processed the same way as any production FOUP, with direct data porting to SPC charts. SensArray Automation provides productivity enhancements resulting in gains in the availability of process tools, more efficient use of engineering resources, and centralized data storage in the fab’s MES database.

EtchTemp Series

In Situ Plasma Etch Wafer Temperature (20° to 140°C) Measurement Systems

The EtchTemp series of in situ wafer temperature measurement systems, available in both 300mm and 200mm configurations, captures the effects of the plasma etch process environment on production wafers under real process conditions. The EtchTemp-HD measurement system includes high sensor density enabling across-wafer temperature monitoring that strongly correlates with CD uniformity control for conductor etch applications. By characterizing thermal conditions that closely represent product wafer conditions, the EtchTemp-HD wireless wafer assists process engineers with tuning the etch process conditions, and the qualification, matching and post-PM verification of front end of line plasma etch chambers.

HighTemp-400

In Situ Wafer Temperature (20° to 400°C) Measurement System

The HighTemp-400 in situ wafer temperature measurement system, available in both 300mm and 200mm configurations, is designed to optimize and monitor advanced film processes (FEOL and BEOL ALD, CVD and PVD) and other elevated temperature processes. The HighTemp-400 wireless wafer measures process tool thermal uniformity, providing a complete picture of temporal and spatial temperature data collected in real-time under actual production process conditions. By revealing thermal variations in applications such as plasma environments that can affect process windows and patterning performance, the HighTemp-400 helps IC manufacturers optimize the integration of new materials, transistor technologies and complex patterning techniques.

Integrated Wafer™

In Situ Lithography Wafer Temperature (15° to 145°C) Measurement System

The Integrated Wafer™ 2 in situ wafer temperature measurement system, available in both 300mm and 200mm configurations, gathers critical thermal data for monitoring and maintaining lithography processes. With a wireless, low-profile design, the Integrated Wafer 2 can be used with almost all lithography process equipment, providing highly accurate static and dynamic temperature measurements for critical production processes. The Integrated Wafer 2 uses 65 sensors to help lithography engineers characterize thermal dose uniformity and analyze segments of the thermal cycle, including transport, heating, cooling and steady-state operations. Integrated Wafer 2 supports applications such as measurement and monitoring of critical hot plate heating zone elements in advanced lithography processes (e.g., track post-exposure bake stations).

UV Wafer

In Situ Deposition and Anneal UV Light Measurement System

The UV Wafer in situ ultraviolet (UV) light 300mm measurement system utilizes wireless sensor wafer technology to measure UV light dosage and intensity at the wafer surface within film deposition process tools. Enabling previously unavailable process optimization and monitoring, the UV Wafer provides temporal and spatial information on the intensity of light reaching the wafer surface from the UV lamp used to anneal or cure FCVD (flowable) oxides and low k dielectric films. The UV Wafer can also identify lamp age-induced drift or other changes in the lamp intensity that result in non-uniform film properties. By highlighting optics system issues within the UV lamp subsystem, the UV Wafer helps engineers drive process tool improvements that result in optimal curing processes.

CryoTemp™

In Situ Wafer Temperature (-40° to 30°C) Measurement System

The CryoTemp™ in situ 300mm wafer temperature measurement system supports the characterization and monitoring of dry etch processes under actual vacuum process conditions*. Designed to calibrate, improve uniformity and match temperature profiles on electrostatic chucks (ESC), the CryoTemp wafer enables fast process characterization and control of plasma etch chambers. The CryoTemp has 21 sensors with an accuracy of 0.5°C and an operational range of -40°C to 30°C. The automation-ready CryoTemp helps to reduce chamber downtime, save valuable engineering resources, and improve tool performance and overall productivity.

(* Note the CryoTemp wafer is not designed to be used with plasma on but can be chucked and de-chucked in the process chamber.)

Smartwafer™

Wafer Handling Monitor

The Smartwafer2™ handling monitor runs through process equipment and records vibrations and acceleration along its route. After completing the recording process, the data is downloaded to a PC via an external reading station. The data is synchronized with the equipment sequence of events and compared to the historic good fingerprint. Any abnormal signals indicate and pinpoint bad mechanical components or alignments, which can cause particles, defects or scratches on the wafer. Standard 300mm silicon wafers are used to closely match the behavior of a standard process wafer, allowing it to run the same mechanical recipe through the wafer handling system. The electronic circuit is conformal coated with silicon adhesive, protecting and waterproofing the Smartwafer2. The Automation Loadport is designed for 300mm automated fabs and permits the utilization of the Smartwafer2 in production mode, like any other routine monitor. The Automation Loadport meets all SEMI standards, including E84 and other SEMI standards required for AMHS/OHV and host connectivity.

EWG Wafer™

Wafer Handling Monitor

The EWG Wafer™ handling monitor measures the eccentricity and the wobbling of wafers on spinning chucks, the only in situ method of making these measurements. The common method currently used for checking these parameters involves the time-consuming process of opening the tool chamber and using mechanical gauges. With one XY accelerometer positioned at the center of the wafer and Z accelerometers positioned at six points close to the edge of the wafer, the EWG Wafer avoids any tool opening, and can be fully automated with the compatible Automation Loadport. The Automation Loadport is designed for 300mm automated fabs and permits the utilization of the EWG Wafer in production mode, like any other routine monitor. The Automation Loadport meets all SEMI standards, including E84 and other SEMI standards required for AMHS/OHV and host connectivity.

RH Wafer™

Wafer Handling Monitor

The RH Wafer™ handling monitor travels throughout a process tool and measures relative humidity in multiple locations. The relative humidity sensor and Smartwafer2™ type circuit are mounted on a 300mm bare silicon wafer that is placed in a purged SmartFOUP™. The SmartFOUP is then placed on a process tool N2 purged load port and monitors the functionality of the N2 purging by measuring the relative humidity in the FOUP. The RH Wafer and purged SmartFOUP are fully compatible with the Automation Loadport and analysis software for fully automated monitoring. The Automation Loadport is designed for 300mm automated fabs and permits the utilization of the RH Wafer in production mode, like any other routine monitor. The Automation Loadport meets all SEMI standards, including E84 and other SEMI standards required for AMHS/OHV and host connectivity. The analysis software uses statistical process control (SPC) tools to detect relative humidity irregularities or trends.

WetTemp Series

In Situ Wet Processing Wafer Temperature (15° to 140°C) Measurement System

The WetTemp in situ wafer temperature measurement system, available in both 300mm and 200mm configurations, supports monitoring of wet clean and other wet processes. The WetTemp series monitor wafers are compatible with most single wafer wet clean process systems to help engineers qualify wet clean tools, optimize wet clean processes and drive improvements in wet clean system performance.

ScannerTemp

In Situ Scanner Wafer Temperature (20° to 24°C) Measurement System

The ScannerTemp in situ wafer temperature measurement system supports monitoring of dry, immersion and EUV lithography scanners. The ScannerTemp wireless wafer produces high accuracy temporal and spatial wafer temperature data that can help lithography engineers characterize and monitor scanner thermal variations that affect pattern overlay performance. With a flat, standard-thickness wafer format, ScannerTemp can be used to monitor lithography thermal uniformity and stability with high precision and low noise, enabling scanner qualification and matching.

Integral Implant i3

In Situ Ion Implant Wafer Temperature (15° to 130°C) Measurement System

The Integral Implant i3 in situ wafer temperature measurement system, available in both 300mm and 200mm configurations, supports monitoring of wafer temperature for ion implant processes. The Integral Implant i3 wireless wafer produces high accuracy temporal and spatial wafer temperature data that can help implant engineers characterize and monitor thermal variations that affect the ion implant dose and uniformity and improve implant process qualification and tool matching.

Thermal TRACK 6

Wireless Data Acquisition

The Thermal TRACK™ 6 data acquisition system supports in situ wafer temperature measurements from the wired SensArray® Process Probe™ instrumented wafer products. The Thermal TRACK 6 system combines the wireless ISIS 5 (Intelligent Sensor Interface System) data acquisition unit with a portable tablet for real-time visualization and logging of data for the characterization of temperature profiles. By providing informative graphical representations of wafer temperature during ramp up, steady state and cool down, Thermal TRACK 6 provides a quick and cost-effective method for managing most processes. This portable system provides high accuracy, precision and resolution of both transient and steady-state measurements, delivering critical data for fab engineers to calibrate and check temperature setpoints and run predefined preventive maintenance checks. Thermal TRACK 6 comes with a 10.5” tablet providing the same functionality as the previous generation but now includes an optimized user interface and enhanced user experience, while also eliminating the need for an external PC.

Thermal TRACK 5

Handheld Wireless Data Acquisition

The Thermal TRACK 5 data acquisition system supports in situ wafer temperature measurements from the wired SensArray® Process Probe instrumented wafer products. The Thermal TRACK 5 system combines the wireless ISIS 5 (Intelligent Sensor Interface System) data acquisition unit with a handheld personal digital assistant for real-time visualization and logging of data for the characterization of temperature profiles. By providing informative graphic representations of wafer temperature during ramp-up, steady state, and cool-down, Thermal TRACK 5 provides a quick and cost-effective method for managing most processes. This portable system provides high accuracy, precision and resolution for both transient and steady-state measurements, providing critical data for fab engineers to calibrate and check temperature setpoints and run predefined preventive maintenance checks.

MaskTemp™

In Situ Reticle Temperature Measurement System

The MaskTemp™ 2 in situ reticle temperature measurement system is used by mask shops for qualification and monitoring of e-beam writers and high temperature reticle process steps. The MaskTemp 2 serves a key role in the qualification of e-beam mask writers as extreme temperature stability is required over the extended time period (up to 24 hours) required to completely write a mask. Inside the e-beam mask writer, the MaskTemp 2 collects temperature data for 24 consecutive hours, providing mask manufacturers with the data needed to ensure the thermal stability of the system prior to writing critical masks. The MaskTemp 2 also supports post-exposure bake characterization, hot plate temperature uniformity monitoring, hot plate matching, and other high temperature process applications, helping mask manufacturers identify and reduce post-write process thermal variations that affect final reticle quality.

Process Probe™ 1530/1535

In Situ Wafer Temperature Monitoring System

The Process Probe™ 1530 and 1535 instrumented wafers are used to monitor in situ temperatures for a wide range of processes, including cold wall, RTP, sputtering, CVD, plasma strippers and epitaxial reactors. The Process Probe 1530 and 1535 provide direct, real-time measurement of wafer temperature during each critical step of the process cycle. With this comprehensive temperature data, process engineers can characterize and fine tune process conditions, driving improved process equipment performance, wafer quality and yield.

Process Probe™ 1630

In Situ Wafer Temperature Monitoring System

The Process Probe™ 1630 instrumented wafers enable precise in situ characterization of wafer temperature profiles for front end atmospheric and belt CVD systems and back end wafer solder bumping reflow ovens. With the Process Probe 1630, process engineers can determine edge-to-center temperature profiles to adjust heater zone set points, and measure drift in deposition temperature to adjust for heat transfer changes from oxide build-up on the heaters and belts.

Process Probe™ 1730

In Situ Wafer Temperature Monitoring System

The Process Probe™ 1730 instrumented wafers enable precise in situ characterization of wafer temperature profiles in photoresist track systems, temperature controlled wafer chuck systems, oven applications, and resist bake, polyimide, and SOG applications. The Process Probe 1730 helps engineers characterize and fine tune process conditions to improve process equipment performance for higher yield.

Process Probe™ 1840/1850

In Situ Wafer Temperature Monitoring System

The Process Probe™ 1840 and 1850 instrumented wafers provide high accuracy, real-time hot plate temperature measurements, supporting processes such as photoresist track systems and wafer probers. The Process Probe 1840 and 1850 allow direct measurement of wafer temperature stability and uniformity without dependence on imprecise process monitors or contact temperature sensors. With the Process Probe 1840 and 1850, lithography engineers can characterize and fine tune the photoresist bake temperature uniformity, ensuring that advanced lithography processes meet the temperature accuracy required for achieving high yield.

PlasmaSuite

Plasma Data Analytics System

PlasmaView
The PlasmaView process analysis viewing system provides an intuitive interface for viewing detailed plasma etch process analyses. Using data collected from EtchTemp and EtchTemp-SE. PlasmaView displays the plasma process data versus time and space (2D or 3D). Movie view allows process engineers to visualize critical transient responses, which can be used for fault investigations.


PlasmaControl
The PlasmaControl analysis engine helps monitor and control day-to-day operations and chamber-to-chamber matching. It distills complicated plasma etch processes down to a few critical components and compares them to control specifications, providing a simple ‘Go’ or ‘No Go’ result for each run. PlasmaControl provides engineers with the ability to view trends, detect and investigate excursions, and compare plasma etch process chambers.

LithoSuite

Lithography Data Analytics System

LithoView
The LithoView process analysis user interface provides standardized data viewing capability, including 2D and 3D temporal views of data. LithoView provides engineers with full mission control capability, such as full SensorWafer™ communications, mission operations and data downloading. LithoView also includes a database and browser for complete tracking of data history.


AutoCal TrackTune Application
The AutoCal TrackTune advanced software application is used for calibrating and optimizing advanced track hotplates. The application leverages the accuracy of the data collected with the SensArray® Integrated Wafer to capture the temperature profile of the photoresist processing zone. By combining detailed thermal profile data with an OEM plate-specific thermal modeling engine, it generates optimized hotplate control system input parameter settings. These optimized settings dramatically improve within plate uniformity and synchronize plate-to-plate thermal profiles.

Thermal MAP®

Wireless Data Acquisition and Data Analytics

The Thermal MAP® data acquisition and analysis system supports in situ wafer temperature measurements. The Thermal MAP system combines the wireless ISIS 5 (Intelligent Sensor Interface System) data acquisition unit with powerful, graphical software for visualization and analysis of data collected by any SensArray® instrumented wafer. This sophisticated wafer temperature data acquisition and analysis system provides outstanding accuracy, precision and resolution for both transient and steady-state measurements. By delivering concise, informative graphic representations of temperature ramp-up, steady state and ramp-down, Thermal MAP supports rapid process optimization with the following tools:

  • Contour and surface maps to aid correlation to film thickness and resistivity maps
  • Animations for rapid viewing of temperature profile changes during the process
  • Run-to-run and within-run analyses

Are you sure?

You've selected to view this site translated by Google Translate.
KLA China has the same content with improved translations.

Would you like to visit KLA China instead?


您已选择查看由Google翻译翻译的此网站。
KLA中国的内容与英文网站相同并改进了翻译。

你想访问KLA中国吗?

If you are a current KLA Employee, please apply through the KLA Intranet on My Access.

Exit